基于eda的智能函数发生器课程设计说明书--大学论文.doc
《基于eda的智能函数发生器课程设计说明书--大学论文.doc》由会员分享,可在线阅读,更多相关《基于eda的智能函数发生器课程设计说明书--大学论文.doc(22页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、邮电与信息工程学院课程设计说明书课题名称: 电子设计自动化(EDA)课程设计 一、课程设计的任务的基本要求:1.设计目的:() 学习使用设计软件Quartus,了解电路描述、综合、模拟过程。() 掌握使用工具设计数字系统的设计思路和设计方法。2.设计要求:()以Altera DE2开发系统为验证平台,根据设计题目要求完成设计输入、综合、仿真与验证工作。() 提供设计报告,报告要求包括以下内容:设计任务书、题目、摘要(中英文)、正文、参考文献。其中正文应包含:设计思路、设计输入文件、设计与调试过程、仿真与验证结果和设计结论。3.设计题目:()设计智能函数发生器,要求实现正弦波、方波、三角波、递增
2、、递减斜波和阶梯波六种波形,所产生的波形频率用数码管显示。 二、进度安排:18周(2009.6.82009.6.12):周一:选择课程设计题目,明确课程设计目的和任务。周二、周三:查看参考资料。周四、周五:原理图与程序设计。19周(2009.6.152008.6.19):周一、周二:上机调试原理图与程序。周三:整理所有任务资料,答辩。周四、周五:撰写课程设计报告。三、应收集资料及主要参考文献:(1) Altera DE2 User Manual(2) 基于Quartus II的FPGA/CPLD数字系统设计实例,周润景等,电子工业出版社(3) EDA技术实用教程(第二版),潘松等,科学出版社四
3、、课程设计摘要(中文):函数发生器是一种很常用的器件,在很多情况下,最常用的波形是正弦波,方波,三角波,递增,递减斜波和阶梯波六种。虽然用模拟电子线路很容易得到这些波形,但是这种方法会使硬件线路较为繁琐,而且模拟线路会受到干扰。本设计采用综合设计方法使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,波形选择模块的输出q接在D/A转换的数据端,就可以在D/A输出端得到想要的其中之一的任一种光滑的波形五、课程设计摘要(英文):The function generator is one kind of very commonly used component, In ve
4、ry many situations,The most commonly used profile is a sine wave,Square-wave,Triangle wave,Increases progressively,Decreases progressively the wave tilt and the steps and ladders wave six kinds. Although is very easy with the simulation electronic circuit to obtain these profiles, But this method ca
5、n cause the hardware line to be tedious,Moreover the artificial line can receive the disturbance. This design uses FPGA to realize the intelligence function generator,It has the module and the profile choice output module by six profiles is composed, Profile choice module output q meets in D/A trans
6、forms data end, May in the D/A out-port obtains one of them which wants no matter what one kind of smooth profile.正文一、设计思路1.基于QUASTUS II平台,采用VHDL语言,设计一波形信号发生器。首先根据对各波形的幅度进行采样,获得各波形的波形数据表,使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入实验板上的D/A转换芯片进行转换为模拟信号
7、,最后送入滤波电路滤波后输出,就可以得到想要的任意其中的一个波形二、设计输入文件与调试分频library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin isport (clk: in std_logic; clkfen: out std_logic); end fenpin;architecture fenpin of fenpin issignal clk_mid: std_logic;begin process(clk) variable data:integer range
8、0 to 99; begin if clkevent and clk=1 then if data=99 then data:=0; clk_mid=not clk_mid; else data:=data+1;end if;end if;clkfen=clk_mid;end process;end fenpin;2.递减波形数据产生模块设计LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;USE IEEE. STD_LOGIC_UNSIGNED.ALL;ENTITY dj IS PORT (clk,reset: IN STD_LOGIC; q:OUT STD
9、_LOGIC_VECTOR (7 DOWNTO 0);END dj;ARCHITECTURE behave OF dj ISBEGIN PROCESS (clk,reset) VARIABLE tmp: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN IF reset=0THEN tmp:=11111111; ELSIF clkEVENT AND clk=1THEN IF tmp=00000000THEN Tmp:=11111111; ELSE tmp:=tmp-1; END IF;END IF; q=tmp; END PROCESS;END behave; 3.递增
10、(锯齿波)波形数据产生模块设计LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY dz IS PORT (clk,reset: IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR (7 DOWNTO 0);END dz;ARCHITECTURE behave OF dz ISBEGIN PROCESS (clk,reset) VARIABLE tmp: STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN IF reset=0THEN tmp:
11、=00000000; ELSIF clkEVENT AND clk=1THEN IF tmp=11111111THEN tmp:=00000000; ELSE tmp:=tmp+1; END IF; END IF;q=tmp; END PROCESS;END behave;4.方波波形数据产生模块设计LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;ENTITY fb IS PORT (clk,reset: IN STD_LOGIC; q:OUT INTEGER RANGE 0 TO 255);END fb; ARCHITECTURE behave OF fb
12、 ISSIGNAL a: BIT;BEGIN PROCESS (clk,reset) VARIABLE cnt: INTEGER range 0 to 31; BEGIN IF reset=0THEN A=0; ELSIF clkEVENT AND clk=1THEN IF cnt31 THENCnt:=cnt+1; ELSE cnt:=0; a=NOT a;END IF; END IF; END PROCESS; Process (clk,a) BEGINIF clkEVENT AND clk=1THEN IF a=1THEN Q=255; ELSE Q=0; END IF; END IF;
13、END PROCESS;END behave;5.三角波波形数据产生模块设计LIBRARY IEEE;USE IEEE. STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY jcb IS PORT (clk,reset: IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR (7 DOWNTO 0);END jcb;ARCHITECTURE behave OF jcb ISBEGIN PROCESS (clk,reset) VARIABLE tmp: STD_LOGIC_VECTOR (7 DOWNTO 0);
14、 VARIABLE a: STD_LOGIC; BEGIN IF reset=0THEN tmp:=00000000; ELSIF clkEVENT AND clk=1THEN IF a=0THENIF tmp=11111110THEN tmp:=11111111; a:=1; ELSE tmp:=tmp+1; END IF;ELSE IF tmp=00000001THEN tmp:=00000000; a:=0;ELSE tmp:=tmp-1; END IF; END IF;END IF;q=tmp; END PROCESS;END behave6.阶梯波形数据产生模块设计library i
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 基于 eda 智能 函数 发生器 课程设计 说明书 大学 论文
限制150内