【精品】soc设计方法与实现第八章 综合与sta(可编辑.ppt
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_1.gif)
![资源得分’ title=](/images/score_05.gif)
《【精品】soc设计方法与实现第八章 综合与sta(可编辑.ppt》由会员分享,可在线阅读,更多相关《【精品】soc设计方法与实现第八章 综合与sta(可编辑.ppt(77页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、SoC设计方法与实现第八章 综合与STAOutlinesLogicSynthesis&CompilingStrategyPhysicalSynthesis&CompilingStrategySynthesisUsingSynopsyssDesignCompiler(DC)StaticTimingAnalysis(STA)STAUsingSynopsyssPrimetime(PT)StatisticalStaticTimingAnalysis(SSTA)WhatLogicSynthesisDo?lGenerate netlist with user specified library(trans
2、late&mapping)lMassage netlist to meet release criteria(optimization)SelectingandUsingaCompilingStrategyCompilingStrategy:Top-downThetop-leveldesignandallitssubdesignarecompiledtogetherAdvantages:nOnlytoplevelconstraintsareneedednBetterresultsduetooptimizationacrossentiredesignDisadvantagesnLongcompi
3、letimesnIncrementalchangestothesub-blocksrequirecompletere-synthesisnToollimitforhandle“large”designOptimizingDesignArchitecturaloptimizationne.g.SelectingDesignWareimplementationsLogic-LeveloptimizationnStructuring-forreduceddesignareanFlattening-forspeedoptimizationGate-LeveloptimizationnDelayopti
4、mizationnDesignrulefixingnAreaoptimizationTimingcorrectionismosteffectivewithplacementinformationnE.g.,PhysicalsynthesisLargeCircuitOptimizationProblemsnRun-time becomes intractablenQuality of solution drops sharply Suggestion nCircuit partition using bottom-up synthesis strategyn“Timing budget”synt
5、hesis strategy nTop-down(RTL-to-gate)to get the timing budget for each module,then do synthesis optimization on each module,followed by gate-to-gate synthesis optimization for the entire designPreserveClockandResetNetworksClocknetworksaretypicallydonebyClockTreeSynthesis(CTS),notbysynthesizeAsynchro
6、nousresetnetworksarealsotypicallytreatedasspecialnetworks,w/insertingappropriatebuffersPreserveclock&resetnetworknExample:SynopsyssDesignCompliler,set_dont_touch_network OutlinesLogicSynthesis&CompilingStrategyPhysicalSynthesis&CompilingStrategySynthesisUsingSynopsyssDesignCompiler(DC)StaticTimingAn
7、alysis(STA)STAUsingSynopsyssPrimetime(PT)StatisticalStaticTimingAnalysis(SSTA)WireDelayandDeviceDelayChallengeforLogicSynthesisToolForprocess0.18umorless,wiredelaylargerthandevicedelaynWiresareclosertogethernWiresarenarrowerStatisticalwireloadmodel(set_wire_load)nolongerworksPhysical ViewLogical Vie
8、wPhysicalSynthesisPhysicalsynthesiscombinesSynthesis,PlacementandStatictiminganalysiswithrouteestimationtogetherItdoesnotusewireload-modelsnThedelayiscalculatedbasedonplacementratherthanfanoutBenefitsnFrontenddesignerscanconsiderphysicaleffectsearlierinthedesignprocessnBettersynthesisresultsleadfast
9、ertimingclosurePhysicalConstraintsPhysicalsynthesisrequirestheconstraints:nPhysicalsizeofthemacro/chipnPinlocationsnGroupinginformationPhysicalSynthesisFlowRTL-to-placed-gateflownIntputs:RTL,floorplan,timingconstraint,libsetupfilenCouldtakeextremelylongtime,especiallyforlargedesigngate-to-placed-gat
10、eflownInputs:gatelevelnetlist,floorplan,timingconstraint,libsetupfilenOptimizingexistinggatelevelnetlistaccordingtotheplacementnRecommendedflowforfasttimingclosureOutlinesLogicSynthesis&CompilingStrategyPhysicalSynthesis&CompilingStrategySynthesisUsingSynopsyssDesignCompilerStaticTimingAnalysis(STA)
11、STAUsingSynopsyssPrimetimeStatisticalStaticTimingAnalysis(SSTA)SynopsysDCFlowSpecifyLibraries Target_libraryThe technology libraries which contain standard cells that Design Compiler maps to during optimizationLink_libraryAll technology libraries which contain hardmacros,standard cells that Design C
12、ompiler uses to resolve cell references Synthetic_libraryA DesignWare library is a collection of reusable circuit-design building blocks(component).Technology independent.Symbol_librarySymbol libraries contain definitions of the graphic symbols that represent library cells in the design schematics.D
13、efiningDesignEnvironmentDefining the Operating ConditionsDefining Wire Load ModelsModeling the System InterfaceDesignConstraintsDesignruleconstraintsnset_max_transitionnset_max_fanoutnset_max_capacitanceDesignoptimizationconstraintsnset_input_delaynset_output_delaynset_max_areaHintsHighfanoutnets/eq
14、ualdelaypathcanbeachievedinDC:set_min_delay,set_max_delaynExample:data/addressbusesforexternalSDRAMinterfaceDefiningClockcreate_clocknameperiodwaveformget_portsset_clock_latency(insertiondelay)set_clock_uncertainty(skew)set_clock_transition(transitiontime)create_generated_clockSpecifyingI/OTimingReq
15、uirementsInputDelayAninputdelayisthespecificationofanarrivaltimeatinputportrelativetoaclockedge.OutputdelayAnoutputdelayrepresentsanexternaltimingpathfromanoutputporttoaregister.qqset_input_delay 4.5 clock PHl1 IN1set_input_delay 4.5 clock PHl1 IN1qqset_output_delay 4.3 clock PHl1 OUT1set_output_del
16、ay 4.3 clock PHl1 OUT1SpecialPathControlSet_false_pathnToignoreaparticularpathfortimingoroptimizationSet_multicycle_pathnToinformDCregardingthenumberofclkcyclesaparticularpathrequired.Defaultis1cyclepathSet_max_delay/set_min_delaynDefinethemax/mindelayrequiredforaparticularpathFalsePathAfalsepathist
17、hepathwhichisneversensitized/caredduetothelogicconfiguration,expecteddatasequence,oroperatingmode.ItwillnotbecheckedinSTAe.g.set_false_pathfromtoMulti-cyclePathAmulti-cyclepathisApathdesignedtotakemorethanoneclockcyclefromlaunchtocapturee.g.set_multicycle_path2setupfromA-toBRunDesignCompiler(dc)Sugg
18、esttohave3files:nStartupfilen.synopsys_dc.setupnConstraintfilesnconstraints.tclnDCscriptsndc_script.tclCodingGuidelinesforSynthesisScriptsAllscriptsshouldbeginwithaheaderdescribingfile,purpose,author,revisionCommentsshouldbeusedextensivelyAllscriptsshouldbeunderrevisioncontrolNohard-codednumbers,dat
19、avalues,orfilenamesshouldbeburiedinthebodyofthescriptsNohard-codedpathsshouldappearinanyscriptsScriptsshouldbeassimpleastheycanbeandstillmeettheirobjectivesCommoncommandssuchasdefininglib,searchpathsshouldbeinasinglesetupfile,.synopsys_dc.setupRecommendationAlwaysreadthelogfiletofind“Warning”Alwaysu
20、seanalyze&elaborateAlwaysuselinktomakesurenothingismissingAlwaysusecheck_designandcheck_timingandunderstandthewarningmessagesAlwaysstartwithadefaultcompileAlwayssavethedesignwiththe-hierarchyoptionAlwaysputconstraintsintoaseparatescriptfileandcallitfromyourmainscriptUse-syntax_check&-context_checkpr
21、iortorunningascriptforthefirsttimeFixallcombinatorialfeedbackloopsreportedbyDCExample.synopsys_dc.setup(tclformat)#/*.synopsys_dc.sretup*/#/*/setsearch_pathcontact$search_pathlist“.”“/usr/golden/library/std_cells”settarget_librarylistex25_worst.dbex25_best.dbsetlink_librarylist“*”,ex25_worst.dbex25_
22、best.dbsetsymbol_librarylistex25.sdbdefine_name_rulesBORGallowed“A-Za-z0-9”-first_restricted“_”-last_restricted“_”-max_length30-mapcontactlist“*cell*”mycell”list“*-return”myreturn”setbus_naming_style%s%dsetverilogout_no_tritruesetverilogout_show_unconnected_pinstrue#/*ForDFT:definescanstyle*/set tes
23、t_default_scan_style multiplexer_flip_flopExample of create_clockcreate_clock-name SYS_CLK-period 9-waveform list 0 create_clock-name SYS_CLK-period 9-waveform list 0 4 get_pins pll_clk4 get_pins pll_clkset_clock_uncertainty-setup 0.5 SYS_CLKset_clock_uncertainty-setup 0.5 SYS_CLKset_clock_uncertain
24、ty-hold 0.5 SYS_CLKset_clock_uncertainty-hold 0.5 SYS_CLKset_clock_latency 4-min get_clocks SYS_CLKset_clock_latency 4-min get_clocks SYS_CLKset_clock_latency 4.5-max get_clocks SYS_CLKset_clock_latency 4.5-max get_clocks SYS_CLKset_clock_transition 0.5 get_clocks SYS_CLKset_clock_transition 0.5 get
25、_clocks SYS_CLKset_dont_touch_network get_clocks SYS_CLKset_dont_touch_network get_clocks SYS_CLKSet_ideal_network get_clocks SYS_CLKSet_ideal_network get_clocks SYS_CLKExample of create_generated clockExample of create_generated clockFor a clk from a divider:create_generated_clock-divide_by 2-sourc
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 精品 【精品】soc设计方法与实现第八章 综合与sta可编辑 soc 设计 方法 实现 第八 综合 sta 编辑
![提示](https://www.taowenge.com/images/bang_tan.gif)
限制150内