eda课程设计基于vhd语言的乒乓球游戏机设计大学论文.doc
《eda课程设计基于vhd语言的乒乓球游戏机设计大学论文.doc》由会员分享,可在线阅读,更多相关《eda课程设计基于vhd语言的乒乓球游戏机设计大学论文.doc(14页珍藏版)》请在淘文阁 - 分享文档赚钱的网站上搜索。
1、目 录1 项目名称、内容与要求 04页1.1 设计内容04页1.2 具体要求04页2 系统整体架构(Architecture Description) 04页2.1 设计思路04页2.2 系统原理(包含:框图等阐述与设计说明等内容)04页3 系统设计 (含HDL或原理图输入设计)05页3.1 HDL 代码05页3.2 系统整体电路图(或RTL级电路图)12页4 系统仿真(Simulation Waveform)13页5FPGA实现(FPGA Implementation) 14页6 总结(Closing)16页参考书目(Reference):16页一、项目名称、内容与要求二、系统整体架构(Ar
2、chitecture Description)2.1设计思路 根据系统设计的要求,乒乓球比赛游戏机的电路原理框图如下:三、系统设计 (含HDL或原理图输入设计)3.1 VHDL 代码比赛模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; -引用必要的库函数和包集合entity compete is -实体名为pingpong port(reset:in std_logic;clk_1:in std_logic;startbutton:in
3、 std_logic; -开始游戏输入端口serve:in std_logic_vector(1 downto 0); -发球输入端口hit1,hit2,hit11,hit22:in std_logic; -甲和乙的击球输入端口light:out std_logic_vector(1 to 8); sound:out std_logic;-控制8个发光二极管的输出端口music_begin:out std_logic;-控制音乐开始的输出端口counta,countb,countc,countd,counte,countf:out std_logic_vector(3 downto 0); -
4、2个用于控制4个7段译码器的输出端口end compete;architecture one of compete istype pingpong is (waitserve,light1on,ballmoveto2,allow2hit,light8on,ballmoveto1,allow1hit);-设置7个状态,为枚举数据类型,记为pingpong signal state:pingpong;signal i:integer range 0 to 8;signal count1,count2,count3,count4,count5,count6:std_logic_vector(3 do
5、wnto 0):=0000; -内部计数器,是4位二进制变量beginprocess(clk_1) begin if(clk_1event and clk_1=1) then if count1=1 and count5=1 or count2=1 and count6=1 then music_begin=1;end if; if(reset=1)then music_begin=0; end if; end if; end process; process(clk_1) -状态机进程 -clk_1作为敏感信号触发进程begin -进程开始if reset=1 then -异步置位i=0;c
6、ount1=0;count2=0;count5=0;count6=0;elsif clk_1event and clk_1=1 then -当处于时钟inclock上升沿时 if count1=10 thencount1=0;count5=1; elsif count1=1 and count5=1 then i=0;count1=0;count5=0;count3=count3+1;count2=0;count6=0;elsif count2=10 then count2=0;count6=1; elsif count2=1 and count6=1 theni=0;count1=0;cou
7、nt2=0;count4=count4+1;count5=0;count6=0; elsif count3=4 or count4=4 then i=9;music_begin=1;startbutton=0;elsif startbutton=0 theni=0;count1=0;count2=0;count3=0;count4=0;count5=0;count6 -进程处于等待发球状态case serve iswhen 01= i=1;state i=8;statei i=0;sound -进程处于第一盏灯亮状态i=2;if hit2=1 or hit22=1 theni=0;count1
8、=count1+1;sound=”1”state=waitserve; elsestate -进程处于第八盏灯亮状态i=7;if hit1=1 or hit11=”1” theni=0;count2=count2+1;sound=”1”;state=waitserve;elsestate -进程处于球向甲移动状态if hit1=1 theni=0;count2=count2+1;sound=”1”;state=waitserve;elsif i=2 then i=1;state=allow1hit;else i -进程处于球向乙移动状态if hit2=1theni=0;count1=count
9、1+1; sound=”1”;state=waitserve;elsif i=7 then i=8;state=allow2hit;else i -进程处于允许甲击球状态if hit1=1 then i=2;state=ballmoveto2;else count2=count2+1;i=0;sound=”1”;state=waitserve;end if; if hit11=1 then i=2;state=ballmoveto2;else count2=count2+1;i=0;sound=”1”;state -进程处于允许乙击球状态if hit2=1then i=7;state=ball
10、moveto1;else count1=count1+1;i=0;sound=”1”;state=waitserve;end if; if hit22=1then i=7;state=ballmoveto1;else count1=count1+1;i=0;sound=”1”;state=waitserve;end if;end case;end if;end if;end process;counta=count1;countb=count2;countc=count3;countd=count4;counte=count5;countf=count6; process(clk_1) if
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- eda 课程设计 基于 vhd 语言 乒乓球 游戏机 设计 大学 论文
限制150内